[X]关闭
0

S01-CH05-FPGA程序的固化和下载

摘要: 在前面一节做了流水灯实验,但是对于FPGA bit程序断电后就丢失了,所以本课讲解把上一课的流水灯程序可以实现固化到FLASH的方法。

软件版本:VIVADO2017.4

操作系统:WIN10 64bit

硬件平台:适用XILINX 7系列FPGA(包括Z7/ZU等)

米联客(MSXBO)论坛:www.osrc.cn答疑解惑专栏开通,欢迎大家给我提问!!

5.1概述

       在前面一节做了流水灯实验,但是对于FPGA bit程序断电后就丢失了,所以本课讲解把上一课的流水灯程序可以实现固化到FLASH的方法。

5.2什么是固化

       前面章节内容,都是通过JTAG先下载bit流文件运行程序,然后用JTAG收发信息,用于在线调试。但是这样只要一断电,程序就会丢失,掉电不保存。

如果需要板卡中程序掉电不消失,则需要对程序进行固化。Artix芯片可以从FLASH 启动,本章将详细的介绍如何制作MCS文件以及FLASH的固化方法。

5.3固化的步骤

5.3.1下载MCS文件到FLASH

Step1:右击xc7k160t_0,然后选择Add Configuration Memory Device

MK7160FA  FLASH芯片型号:

Step2:选择如下图所示的FLASH型号:mt25ql256,然后单击OK。

Step3:点击tool,然后选择Generate Memory Configuration File

Step4:按如下配,生成mcs文件,点击OK

Step5:成功生成LED.mcs

Step6:右击mt25ql128sxxxxxx0 flash 然后选择Program Configuration Memory Device

Step7:选择mcs文件单击OK

Step5:等待烧写完成

Step5:烧写完成后,重启开发板,程序功能运行。

5.3.2下载bin文件到FLASH

Step1:右击xc7k160t_0然后选择Add Configuration Memory Device

Step2:选择如下图所示的FLASH型号:mt25ql256,然后单击OK

Step3:右击mt25ql256sxxxxxx0 flash 然后选择Program Configuration Memory Device

Step4: 选择bin文件,点击OK。

Step5: 等待烧写完成(bin格式烧写比较慢)

Step6: 烧写完成后,重启开发板,程序功能运行。

5.4本章小结

       本章详细讲解了如何创建VIVADO工程以及在VIVADO工程环境下编写纯FPGA代码的程序,并且讲解了如何添加管脚约束,时钟约束,编译程序,下载程序。通过流水灯实现这个简单的实验抛砖引玉,让大家掌握了VIVADO软件的使用。

1

路过

雷人

握手

鲜花

鸡蛋

刚表态过的朋友 (1 人)

本文作者
2019-10-15 10:50
  • 1
    粉丝
  • 3138
    阅读
  • 0
    回复

关注米联客

扫描关注,了解最新资讯

联系人:汤经理
电话:0519-80699907
EMAIL:270682667@qq.com
地址:常州溧阳市天目云谷3号楼北楼201B
热门评论
排行榜