[X]关闭

启动串口打印信息

文档创建者:ぉ沙皮狗的忧伤
浏览次数:11057
最后更新:2019-12-03
本帖最后由 ぉ沙皮狗的忧伤 于 2019-11-28 18:48 编辑

//com3口打印调试信息
Connecting to COM3...                                                                                                                                
Connected.


//uboot版本,及时间戳
U-Boot 2018.01 (Oct 09 2019 - 23:39:31 -0400)                                                                                          
//机器型号
Model: Zynq mz7x Development Board
//开发商及系列号                                       
Board: Xilinx Zynq                                                                                                                                          
Silicon: v3.1
DRAM:  ECC disabled 1 GiB
MMC:   sdhci_transfer_data: Error detected in status(0x208000)!   
//sdio接口设备,一个是SD卡,一个是EMMC         
sdhci@e0100000: 0 (SD), sdhci@e0101000: 1 (eMMC)
//n25q128是nor flash芯片大小是16M
SF: Detected n25q128 with page size 256 Bytes, erase size 64 KiB, total 16 MiB  
*** Warning - bad CRC, using default environment

In:      serial@e0001000
Out:   serial@e0001000
Err:     serial@e0001000
Net:   ZYNQ GEM: e000b000, phyaddr 0, interface rgmii-id
eth0: ethernet@e000b000
Hit any key to stop autoboot:  0
SDCARD Boot Mode

[INFO] Scanning mmc 0...                                                        
switch to partitions #0, OK
mmc0 is current device
** Unable to read file uEnv.txt **    //从MMC卡读取uEnv.txt
[INFO] Trying to boot from mmc 0     
reading uImage                 //从MMC卡读取uImage
3975256 bytes read in 259 ms (14.6 MiB/s)
reading devicetree.dtb            //从MMC卡读取devicetree.dtb
10238 bytes read in 18 ms (554.7 KiB/s)
reading system.bit.bin           //从MMC卡读取system.bit.bin
4045671 bytes read in 250 ms (15.4 MiB/s)



  //这是system.bit.bin文件名字,用户ID  vivado版本2017.4
  design filename = "LED_wrapper;UserID=0XFFFFFFFF;Version=2017.4"         
  part number = "7z020clg400"                                                                                                        
  date = "2019/11/23"
  time = "08:50:19"
  bytes in bitstream = 4045564
zynq_align_dma_buffer: Align buffer at 10006b to fff80(swap 1)
** Unable to read file uramdisk.image.gz **

//console=ttySAC0,115200 : 指定内核启动的调试信息通过什么设备打印出来
//root=/dev/mmcblk0p2 :指定内核挂载根文件系统的方式---mmcblk0p2(SD卡的第二个分区)
//rootfstype=ext4文件系统的格式
console=ttyPS0,115200 earlyprintk root=/dev/mmcblk0p2 rw rootfstype=ext4 rootwait hdmi            
                                                                                                                                                                  
                                                                                                                                                                  


## Booting kernel from Legacy Image at 02080000 ... //从02080000引导内核
   Image Name:   Linux-4.14.0-xilinx.        //内核名字
   Image Type:   ARM Linux Kernel Image.      //类型
   Data Size:    3975192 Bytes = 3.8 MiB      //大小
   Load Address: 00008000                 //加载地址,因为nor_flash是SPI接口的,并不能直接从nor_flash启动,需要将他加载到内存里面
   Entry Point:  00008000                //入口地址
   Verifying Checksum ... OK


## Flattened Device Tree blob at 02000000       //设备树所在的位置
   Booting using the fdt blob at 0x2000000
   Loading Kernel Image ... OK
   Loading Device Tree to 1fffa000, end 1ffff7fd ... OK

Starting kernel ...


运行到这里,说明你前面的FSBL,uboot都没问题,如果在启动时下面出了问题,就要去查找了

Booting Linux on physical CPU 0x0//内核版本,gcc编译器版本
Linux version 4.14.0-xilinx (osrc@osrc) (gcc version 7.2.1 20171011 (Linaro GCC 7.2-2017.11-rc1))     
CPU: ARMv7 Processor [413fc090] revision 0 (ARMv7), cr=18c5387d        //ARMv7架构
CPU: PIPT / VIPT nonaliasing data cache, VIPT aliasing instruction cache
OF: fdt: Machine model: Zynq MZ7X Development Board                //机器型号
Memory policy: Data cache writealloc
reserve 256MB@0x30000000 forPL                            //DDR后256M留给FPGA存储数据使用
cma: Reserved 16 MiB at 0x2f000000
random: fast init done
percpu: Embedded 16 pages/cpu @ee9c7000 s34764 r8192 d22580 u65536
Built 1 zonelists, mobility grouping on.  Total pages: 195072
Kernel command line: console=ttyPS0,115200 earlyprintk root=/dev/mmcblk0p2 rw rootfstype=ext4 rootwait hdmi
PID hash table entries: 4096 (order: 2, 16384 bytes)
Dentry cache hash table entries: 131072 (order: 7, 524288 bytes)
Inode-cache hash table entries: 65536 (order: 6, 262144 bytes)


//系统内存划分
Memory: 752764K/786432K available (6144K kernel code, 239K rwdata, 1564K rodata, 1024K init, 153K bss, 17284K reserved, 16384K cma-reserved, 0K highmem)
Virtual kernel memory layout:
    vector  : 0xffff0000 - 0xffff1000    (  4 kB)
    fixmap  : 0xffc00000 - 0xfff00000    (3072 kB)
    vmalloc  : 0xf0800000 - 0xff800000    (240 MB)
    lowmem  : 0xc0000000 - 0xf0000000    ( 768 MB)
    pkmap   : 0xbfe00000 - 0xc0000000    (  2 MB)
    modules  : 0xbf000000 - 0xbfe00000    ( 14 MB)
    .text   : 0xc0008000 - 0xc0700000    (7136 kB)
    .init   : 0xc0900000 - 0xc0a00000    (1024 kB)
    .data   : 0xc0a00000 - 0xc0a3bfc0    ( 240 kB)
    .bss   : 0xc0a3bfc0 - 0xc0a62684    ( 154 kB)



Preemptible hierarchical RCU implementation.
    RCU restricting CPUs from NR_CPUS=4 to nr_cpu_ids=2.
    Tasks RCU enabled.
RCU: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2
NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
efuse mapped to f0800000
slcr mapped to f0802000
L2C: platform modifies aux control register: 0x72360000 -> 0x72760000
L2C: DT/platform modifies aux control register: 0x72360000 -> 0x72760000
L2C-310 erratum 769419 enabled
L2C-310 enabling early BRESP for Cortex-A9
L2C-310 full line of zeros enabled for Cortex-A9
L2C-310 ID prefetch enabled, offset 1 lines
L2C-310 dynamic clock gating enabled, standby mode enabled
L2C-310 cache controller enabled, 8 ways, 512 kB
L2C-310: CACHE_ID 0x410000c8, AUX_CTRL 0x76760001
zynq_clock_init: clkc starts at f0802100
Zynq clock init
sched_clock: 64 bits at 333MHz, resolution 3ns, wraps every 4398046511103ns
clocksource: arm_global_timer: mask: 0xffffffffffffffff max_cycles: 0x4ce07af025, max_idle_ns: 440795209040 ns
Switching to timer-based delay loop, resolution 3ns
clocksource: ttc_clocksource: mask: 0xffff max_cycles: 0xffff, max_idle_ns: 537538477 ns
timer #0 at f080a000, irq=17
Console: colour dummy device 80x30
Calibrating delay loop (skipped), value calculated using timer frequency.. 666.66 BogoMIPS (lpj=3333333)
pid_max: default: 32768 minimum: 301
Mount-cache hash table entries: 2048 (order: 1, 8192 bytes)
Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes)
CPU: Testing write buffer coherency: ok
CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
Setting up static identity map for 0x100000 - 0x100060
Hierarchical SRCU implementation.
smp: Bringing up secondary CPUs ...
CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
smp: Brought up 1 node, 2 CPUs
SMP: Total of 2 processors activated (1333.33 BogoMIPS).
CPU: All CPU(s) started in SVC mode.
devtmpfs: initialized
VFP support v0.3: implementor 41 architecture 3 part 30 variant 9 rev 4
clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
futex hash table entries: 512 (order: 3, 32768 bytes)
pinctrl core: initialized pinctrl subsystem
NET: Registered protocol family 16
DMA: preallocated 256 KiB pool for atomic coherent allocations
cpuidle: using governor menu
hw-breakpoint: found 5 (+1 reserved) breakpoint and 1 watchpoint registers.
hw-breakpoint: maximum watchpoint size is 4 bytes.
zynq-ocm f800c000.ocmc: ZYNQ OCM pool: 256 KiB @ 0xf0840000
zynq-pinctrl 700.pinctrl: zynq pinctrl initialized
e0001000.serial: ttyPS0 at MMIO 0xe0001000 (irq = 25, base_baud = 6249999) is a xuartps
console [ttyPS0] enabled
vgaarb: loaded
SCSI subsystem initialized
usbcore: registered new interface driver usbfs
usbcore: registered new interface driver hub
usbcore: registered new device driver usb
media: Linux media interface: v0.10
Linux video capture interface: v2.00
pps_core: LinuxPPS API ver. 1 registered
pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
PTP clock support registered
EDAC MC: Ver: 3.0.0
FPGA manager framework
fpga-region fpga-full: FPGA Region probed
Advanced Linux Sound Architecture Driver Initialized.
clocksource: Switched to clocksource arm_global_timer
NET: Registered protocol family 2
TCP established hash table entries: 8192 (order: 3, 32768 bytes)
TCP bind hash table entries: 8192 (order: 4, 65536 bytes)
TCP: Hash tables configured (established 8192 bind 8192)
UDP hash table entries: 512 (order: 2, 16384 bytes)
UDP-Lite hash table entries: 512 (order: 2, 16384 bytes)
NET: Registered protocol family 1
RPC: Registered named UNIX socket transport module.
RPC: Registered udp transport module.
RPC: Registered tcp transport module.
RPC: Registered tcp NFSv4.1 backchannel transport module.
hw perfevents: no interrupt-affinity property for /pmu@f8891000, guessing.
hw perfevents: enabled with armv7_cortex_a9 PMU driver, 7 counters available
workingset: timestamp_bits=30 max_order=18 bucket_order=0
jffs2: version 2.2. (NAND) (SUMMARY)  &#169; 2001-2006 Red Hat, Inc.
io scheduler noop registered
io scheduler deadline registered
io scheduler cfq registered (default)
io scheduler mq-deadline registered
io scheduler kyber registered
dma-pl330 f8003000.dmac: Loaded driver for PL330 DMAC-241330
dma-pl330 f8003000.dmac:     DBUFF-128x8bytes Num_Chans-8 Num_Peri-4 Num_Events-16
brd: module loaded
loop: module loaded
m25p80 spi0.0: found n25q128a13, expected n25q128a11
m25p80 spi0.0: n25q128a13 (16384 Kbytes)
libphy: Fixed MDIO Bus: probed
CAN device driver interface
libphy: MACB_mii_bus: probed
macb e000b000.ethernet eth0: Cadence GEM rev 0x00020118 at 0xe000b000 irq 27 (00:0a:35:00:01:22)
RTL8211F Gigabit Ethernet e000b000.ethernet-ffffffff:00: attached PHY driver [RTL8211F Gigabit Ethernet] (mii_bus:phy_addr=e000b000.ethernet-ffffffff:00, irq=POLL)
e1000e: Intel(R) PRO/1000 Network Driver - 3.2.6-k
e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
ehci-pci: EHCI PCI platform driver
usbcore: registered new interface driver usb-storage
chipidea-usb2 e0002000.usb: e0002000.usb supply vbus not found, using dummy regulator
ULPI transceiver vendor/product ID 0x0451/0x1507
Found TI TUSB1210 ULPI transceiver.
ULPI integrity check: passed.
ci_hdrc ci_hdrc.0: EHCI Host Controller
ci_hdrc ci_hdrc.0: new USB bus registered, assigned bus number 1
ci_hdrc ci_hdrc.0: USB 2.0 started, EHCI 1.00
hub 1-0:1.0: USB hub found
hub 1-0:1.0: 1 port detected
i2c /dev entries driver
IR NEC protocol handler initialized
IR RC5(x/sz) protocol handler initialized
IR RC6 protocol handler initialized
IR JVC protocol handler initialized
IR Sony protocol handler initialized
IR SANYO protocol handler initialized
IR Sharp protocol handler initialized
IR MCE Keyboard/mouse protocol handler initialized
IR XMP protocol handler initialized
cdns-wdt f8005000.watchdog: Xilinx Watchdog Timer at f0946000 with timeout 10s
EDAC MC: ECC not enabled
Xilinx Zynq CpuIdle Driver started
sdhci: Secure Digital Host Controller Interface driver
sdhci: Copyright(c) Pierre Ossman
sdhci-pltfm: SDHCI platform and OF driver helper
mmc0: SDHCI controller on e0100000.sdhci [e0100000.sdhci] using ADMA
mmc0: Problem switching card into high-speed mode!
mmc0: new SDHC card at address 0001
mmcblk0: mmc0:0001 SD 14.6 GiB
mmcblk0: p1 p2
mmc1: SDHCI controller on e0101000.sdhci [e0101000.sdhci] using ADMA
ledtrig-cpu: registered to indicate activity on CPUs
usbcore: registered new interface driver usbhid
usbhid: USB HID core driver
fpga_manager fpga0: Xilinx Zynq FPGA Manager registered
NET: Registered protocol family 10
Segment Routing with IPv6
sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
NET: Registered protocol family 17
can: controller area network core (rev 20170425 abi 9)
NET: Registered protocol family 29
can: raw protocol (rev 20170425)
can: broadcast manager protocol (rev 20170425 t)
can: netlink gateway (rev 20170425) max_hops=1
Registering SWP/SWPB emulation handler
hctosys: unable to open rtc device (rtc0)
of_cfs_init
of_cfs_init: OK
ALSA device list:
mmc1: new high speed MMC card at address 0001
mmcblk1: mmc1:0001 Q2J55L 7.09 GiB
mmcblk1boot0: mmc1:0001 Q2J55L partition 1 16.0 MiB
mmcblk1boot1: mmc1:0001 Q2J55L partition 2 16.0 MiB
mmcblk1rpmb: mmc1:0001 Q2J55L partition 3 4.00 MiB
mmcblk1: p1
EXT4-fs (mmcblk0p2): recovery complete
EXT4-fs (mmcblk0p2): mounted filesystem with ordered data mode. Opts: (null)
VFS: Mounted root (ext4 filesystem) on device 179:2.
devtmpfs: mounted
Freeing unused kernel memory: 1024K
systemd[1]: System time before build time, advancing clock.
systemd[1]: Failed to insert module 'autofs4': No such file or directory
systemd[1]: systemd 237 running in system mode. (+PAM +AUDIT +SELINUX +IMA +APPARMOR +SMACK +SYSVINIT +UTMP +LIBCRYPTSETUP +GCRYPT +GNUTLS +ACL +XZ +LZ4 +SECCOMP +BLKID +ELFUTILS +KMOD -IDN2 +IDN -PCRE2 default-hierarchy=hybrid)
systemd[1]: Detected architecture arm.

Welcome to Ubuntu 18.04.1 LTS!

systemd[1]: Set hostname to <bionic-armhf>.
systemd[1]: File /lib/systemd/system/systemd-journald.service:36 configures an IP firewall (IPAddressDeny=any), but the local system does not support BPF/cgroup based firewalling.
systemd[1]: Proceeding WITHOUT firewalling in effect! (This warning is only shown for the first loaded unit using IP firewalling.)
systemd[1]: Reached target Remote File Systems.
[  OK  ] Reached target Remote File Systems.
systemd[1]: Started Forward Password Requests to Wall Directory Watch.
[  OK  ] Started Forward Password Requests to Wall Directory Watch.
systemd[1]: Created slice User and Session Slice.
[  OK  ] Created slice User and Session Slice.
systemd[1]: Reached target Swap.
[  OK  ] Reached target Swap.
systemd[1]: Created slice System Slice.
[  OK  ] Created slice System Slice.
[  OK  ] Created slice system-serial\x2dgetty.slice.
[  OK  ] Listening on Journal Socket.
[  OK  ] Listening on udev Control Socket.
         Starting Set the console keyboard layout...
         Starting Remount Root and Kernel File Systems...
[  OK  ] Listening on Syslog Socket.
[  OK  ] Listening on Journal Socket (/dev/log).
         Starting Load Kernel Modules...
         Starting Journal Service...
[  OK  ] Reached target Slices.
[  OK  ] Listening on udev Kernel Socket.
         Starting udev Coldplug all Devices...
[  OK  ] Listening on /dev/initctl Compatibility Named Pipe.
         Starting Create Static Device Nodes in /dev...
[  OK  ] Started Dispatch Password Requests to Console Directory Watch.
[  OK  ] Reached target Local Encrypted Volumes.
[  OK  ] Reached target Paths.
[  OK  ] Started Journal Service.
[  OK  ] Started Remount Root and Kernel File Systems.
[  OK  ] Started Set the console keyboard layout.
[  OK  ] Started Load Kernel Modules.
[  OK  ] Started Create Static Device Nodes in /dev.
         Mounting Kernel Configuration File System...
         Starting Apply Kernel Variables...
[  OK  ] Reached target Local File Systems (Pre).
         Starting Load/Save Random Seed...
         Starting udev Kernel Device Manager...
[  OK  ] Reached target Local File Systems.
         Starting Set console font and keymap...
         Starting Flush Journal to Persistent Storage...
[  OK  ] Started udev Coldplug all Devices.
[  OK  ] Mounted Kernel Configuration File System.
[  OK  ] Started udev Kernel Device Manager.
[  OK  ] Started Apply Kernel Variables.
[  OK  ] Started Load/Save Random Seed.
[  OK  ] Started Set console font and keymap.
         Starting Raise network interfaces...
[  OK  ] Found device /dev/ttyPS0.
[  OK  ] Found device /sys/subsystem/net/devices/eth0.
[  OK  ] Started ifup for eth0.
[  OK  ] Started Raise network interfaces.
[  OK  ] Started Flush Journal to Persistent Storage.
         Starting Create Volatile Files and Directories...
[  OK  ] Started Create Volatile Files and Directories.
         Starting Update UTMP about System Boot/Shutdown...
         Starting Network Name Resolution...
         Starting Network Time Synchronization...
[  OK  ] Started Update UTMP about System Boot/Shutdown.
[  OK  ] Started Network Name Resolution.
[  OK  ] Started Network Time Synchronization.
[  OK  ] Reached target System Time Synchronized.
[  OK  ] Reached target System Initialization.
[  OK  ] Started Daily Cleanup of Temporary Directories.
[  OK  ] Listening on D-Bus System Message Bus Socket.
[  OK  ] Reached target Sockets.
[  OK  ] Reached target Basic System.
         Starting LSB: IPv4 DHCP client with IPv4LL support...
[  OK  ] Started Set the CPU Frequency Scaling governor.
[  OK  ] Started Message of the Day.
         Starting System Logging Service...
[  OK  ] Started Daily apt download activities.
[  OK  ] Started Daily apt upgrade and clean activities.
         Starting Dispatcher daemon for systemd-networkd...
         Starting Login Service...
[  OK  ] Started Regular background program processing daemon.
[  OK  ] Started Discard unused blocks once a week.
[  OK  ] Reached target Timers.
[  OK  ] Started D-Bus System Message Bus.
[  OK  ] Started Login Service.
[  OK  ] Reached target Host and Network Name Lookups.
[  OK  ] Reached target Network.
         Starting OpenBSD Secure Shell server...
         Starting Permit User Sessions...
[  OK  ] Started System Logging Service.
[  OK  ] Started Permit User Sessions.
[  OK  ] Started Serial Getty on ttyPS0.
         Starting Set console scheme...
[  OK  ] Started Set console scheme.
[  OK  ] Created slice system-getty.slice.
[  OK  ] Started Getty on tty1.
[  OK  ] Reached target Login Prompts.
[  OK  ] Started OpenBSD Secure Shell server.
[  OK  ] Started LSB: IPv4 DHCP client with IPv4LL support.
[  OK  ] Started Dispatcher daemon for systemd-networkd.
[  OK  ] Reached target Multi-User System.
[  OK  ] Reached target Graphical Interface.
         Starting Update UTMP about System Runlevel Changes...
[  OK  ] Started Update UTMP about System Runlevel Changes.

Ubuntu 18.04.1 LTS bionic-armhf ttyPS0

bionic-armhf login:




发表评论已发布 3

菲尼克兔

发表于 2019-11-29 17:33:48 来自手机 | 显示全部楼层

请教楼主,reserve 256MB@0x30000000 forPL                            //DDR后256M留给FPGA存储数据使用 这块是如何实现的哈,我在mz7035 的板子上,把设备树改来改去都不行,请指点一下哈

ぉ沙皮狗的忧伤

发表于 2019-12-2 08:45:09 | 显示全部楼层

菲尼克兔 发表于 2019-11-29 17:33
请教楼主,reserve 256MB@0x30000000 forPL                            //DDR后256M留给FPGA存储数据使用  ...

请阅读我的“米联客FDMA IP在LINUX下实现PL和PS数据共享测试总结”的帖子

菲尼克兔

发表于 2019-12-3 00:01:15 | 显示全部楼层

ぉ沙皮狗的忧伤 发表于 2019-12-2 08:45
请阅读我的“米联客FDMA IP在LINUX下实现PL和PS数据共享测试总结”的帖子

多谢大神指点,我打紧去学习一下
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则