[X]关闭

Vivado下载方式

文档创建者:自恋狂vip
浏览次数:5055
最后更新:2016-01-14
Vivado里下载工程bit流文件有两种方式:一种是直接打开Vivado,寻找到bit流文件,进行下载,另外一种是将工程跑完,综合编译布局布线以后,进行下载,下面我们将图文并茂进行介绍,这里需要特别注意的是连接下载时候,必须要首先连接usb转Jtag线,然后打开开发板电源。
第一种:直接从Vivado里下载工程bit流文件。
首先打开Vivado,然后在Flow里找到 open hardwaremanager   

下面是其打开的的界面,可以选择自己连接、打开最近连接或打开新硬件。
我们选择打开新硬件时,是下面的界面:
我们选择Next,则是下面的界面:
如果扫描硬件正常,则应该出现下面的界面:
我们选择下面的Hardware,然后选择xc7z020_1,这是我们的FPGA芯片:
继续Next,是我们的硬件总结信息,可以看到具体的细节信息:
然后点击finish完成,出现我们下面的界面:

我们选择Program device 来选择我们的下载文件:

然后我们选择 Bitstream file 后面的按钮,选择要下载的文件,就可以进行下载了。

第二种:从Vivado工程里下载工程bit流文件。

首先同样需要先上电然后对工程文件进行编译综合,生成相应的bit流文件,在下面Program and Debug区域就是我们下载和调试工程区域。
我们点击 Open Hardware Manager 来打开硬件管理:
点击Open Target,出现下面的界面:
接下来就跟前面一样了,只是最后不同于第一种方法,这种方式的bitstream是工程自主加载的,不需要自己找。






本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x

发表评论已发布 1

uisrc

发表于 2016-1-14 00:57:24 | 显示全部楼层

越努力越幸运!加油!
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则