[X]关闭

FPGA常用技巧:乒乓操作

文档创建者:贾文洋
浏览次数:4249
最后更新:2016-01-04
    乒乓操作的处理流程为:输入数据通过输入数据选择单元"将数据等时分配到两个数据缓冲模块中,在第一个缓冲周期,将输入的数据流缓存到数据缓冲模块1"中,在第二个缓冲周期,通过输入数据单元切换,将输入的数据缓存到数据缓冲模块2’’,同时将数据缓冲模块1”缓存的第一个周期数据通过数据选择单元的选择,送到数据流运算处理模块’’进行处理,在第三个缓冲周期通过输入数据选择单元"的再次切换,将输入的数据流缓存到数据缓冲模块1”中,同时将数据缓冲模块2”缓存的第二个周期的数据通过输出数据选择单元的切换,送到数据流运算处理模块进行运算处理。如此循环。
      
乒乓操作的最大特点是通过“输入数据选择单元”和“输出数据选择单元’’按节拍的切换,将经过缓冲的数据流没有停顿地送到“数据流运算处理模块”进行运算处理。把乒乓操作当作一个整体,站在这个模块的两端看数据,输入数据和输出数据都是连续不断的,因此非常适合对数据流进行流水线式处理,完成数据的无缝缓冲与处理。
比较常用的存储单元为双口RAM(DPRAM)、单口RAM(SPRAM)、FIFO等。
   在MIS603教程中对于BRAM的操作也涉及到乒乓操作,把BRAM分为两部分,读一部分的过程同样写另一部分,保证读写同时进行。

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则