[X]关闭

1-4-02 串口程序收发环路设计

文档创建者:uisrc
浏览次数:275
最后更新:2023-12-27
文档课程分类
AMD: FPGA部分(2024样板资料) » 1_START(适配所有型号) » 4-硬件功能案例
软件版本:VIVADO2021.1
操作系统:WIN10 64bit
硬件平台:适用XILINX A7/K7/Z7/ZU/KU系列FPGA
登录米联客(MiLianKe)FPGA社区-www.uisrc.com观看免费视频课程、在线答疑解惑!
1概述
常用的异步串行通信包含,UART、RS232、RS485。初学者可能搞不清几个名词区别,这里就做一个简单介绍。
UART (Universal Asynchronous Receiver/Transmitter)代表通用异步接收器/发送器。也叫是我们经常所说的串口,基本都用于调试。UART的主要目的是发送和接收串行数据。串口只需要2根线就可以实现收发,TX用于发送数据,RX用于接受数据(收发不是一根线,所以是全双工方式),通信双方只要采用相同的帧格式和波特率,就能在未共享时钟信号的情况下,可以完成通信过程(收发不同步,所以是异步串行通信)。
若加入一个合适的电平转换器,如SP3232E、SP3485,UART 还能用于RS-232、RS-485 通信,或与计算机的端口连接。UART 应用非常广泛,工控的人机界面HMI、手机、PC 等应用中都要用到UART。
RS-232标准:RS-232是美国电子工业协会EIA(Electronic Industry Association)制定的一种串行物理接口标准。RS是英文"推荐标准"的缩写,232为标识号。RS-232是对电气特性以及物理特性的规定,只作用于数据的传输通路上,它并不内含对数据的处理方式。RS-232、RS422、RS-485并不是标准通信协议,仅仅作为UART通讯的一个机械和电气接口标准(仅仅是标准协议中物理层面),RS485是RS-232升级版。
RS485采用物理非标准接口,根据实际情况。RS232采用点对D9标准接口:
2504661-20231227180357776-634471953.jpg
2504661-20231227180403211-944991432.jpg
本实验通过串口调试助手发送数据到FPGA,FPGA实现串并转换,之后再实现并串转换数据发回串口调试助手。
实验目的:
1:实现UART串口收发环路的设计
2:实现主程序中调用串口接收信息并且发送接收到的信息
3:完成仿真验证
4:编译并且固化程序到FPGA验证
2 硬件电路设计
硬件接口和子卡模块请阅读"附录 1"
配套工程的 FPGA PIN 脚定义路径为 fpga_prj/uisrc/04_pin/ fpga_pin.xdc。
3 UART收发环路3.1 系统框图
上位机通过串口发送数据到FPGA开发板的UART数据接收模块,数据接收模块接收数据后,通过UART数据发送模块把数据发回到串口芯片,之后数据在串口调试助手上打印,实现环路测试。对于发送控制器中的uart_busy信号,不需要使用,因为这里uart发送模块是被动发送。

2504661-20231227180408707-1086643084.jpg
3.2 顶层模块调用UART收发驱动源码
顶层模块只需要调用uart的首发模块驱动接口。并且设置O_uart_rdata和I_uart_wdata互联,I_uart_wreq和O_uart_rvalid互联。
/*********************uart_top UART串口环路测试*********************
1.uiuart_rx接收驱动,用于接收串口数据,当O_uart_rvalid代表uiuart_rx接收驱动
接收到了总线的有效数据
2.uiuart_tx发送驱动,用于发送数据,当I_uart_wreq为高电平,请求发送数据
环路测试中,用O_uart_rvalid = I_uart_wreq,使用接收的数据再转发出去I_uart_wdata = O_uart_wdata *********************************************************************/

`timescale 1ns / 1ns //仿真时钟刻度和精度

module uart_top
(
input  I_sysclk,//系统时钟输入
input  I_uart_rx,//uart rx接收信号
output O_uart_tx //uart tx发送信号
);

localparam SYSCLKHZ = 50_000_000;  //系统输入时钟

reg [11:0] rstn_cnt = 0;//上电后延迟复位
wire uart_rstn_i;//内部复位信号
wire uart_wreq,uart_rvalid;
wire [7:0]uart_wdata,uart_rdata;

assign uart_wreq  = uart_rvalid;//用uart rx接收数据有效的uart_rvalid信号,控制uart发送模块的发送请求
assign uart_wdata = uart_rdata; //接收的数据给发送模块发送
assign uart_rstn_i = rstn_cnt[11];//延迟复位设计,用计数器的高bit控制复位

//同步计数器实现复位
always @(posedge I_sysclk)begin
    if(rstn_cnt[11] == 1'b0)
        rstn_cnt <= rstn_cnt + 1'b1;
    else
        rstn_cnt <= rstn_cnt;
end

//例化uart 发送模块
uiuart_tx#
(
.BAUD_DIV(SYSCLKHZ/115200-1)   
)
uart_tx_u
(
.I_clk(I_sysclk),//系统时钟输入
.I_uart_rstn(uart_rstn_i), //系统复位
.I_uart_wreq(uart_wreq), //uart发送驱动的写请求信号,高电平有效
.I_uart_wdata(uart_wdata), //uart发送驱动的写数据
.O_uart_wbusy(),//uart 发送驱动的忙标志
.O_uart_tx(O_uart_tx)//uart 串行数据发送
);

//例化uart 接收
uiuart_rx#
(
.BAUD_DIV(SYSCLKHZ/115200-1)  
)
uiuart_rx_u
(
.I_clk(I_sysclk), //系统时钟输入
.I_uart_rstn(uart_rstn_i),//系统复位
.I_uart_rx(I_uart_rx), //uart 串行数据接收
.O_uart_rdata(uart_rdata), //uart 接收数据
.O_uart_rvalid(uart_rvalid)//uart 接收数据有效,当O_uart_rvalid =1'b1 O_uart_rdata输出的数据有效
);

endmodule



4 FPGA工程
fpga工程的创建过程不再重复,如有不清楚的请看前面实验
2504661-20231227180409194-1606204418.jpg
米联客的代码管理规范,在对应的FPGA工程路径下创建uisrc路径,并且创建以下文件夹
01_rtl:放用户编写的rtl代码
02_sim:仿真文件或者工程
03_ip:放使用到的ip文件
04_pin:放fpga的pin脚约束文件或者时序约束文件
05_boot:放编译好的bit或者bin文件(一般为空)
06_doc:放本一些相关文档(一般为空)
2504661-20231227180409590-139136039.jpg
5 RTL仿真5.1 准备工作
仿真测试文件源码如下:
module uart_top_tb();

localparam      BPS          = 'd115200     ;             //波特率
localparam      CLK_FRE    = 'd50_000_000   ;     //系统频率
localparam      CLK_TIME   =  'd500_000_000 /CLK_FRE;//计算系统时钟周期,以ns为单位
localparam      BIT_TIME   = 'd500_000_000  / BPS ; //计算出传输每个bit所需要的时间以ns为单位
localparam      NUM_BYTES  = 3;            //需要发送的BYTES

reg               I_sysclk;         //系统时钟
reg               bsp_clk ;     //波特率时钟
reg               O_uart_tx;      //uart 数据发送,该信号接入到,FPGA的uart 接收
wire             I_uart_rx;      //uart 数据接收,该信号接入到,FPGA的uart 发送
reg [8*NUM_BYTES-1:0] uart_send_data; //需要发送的数据
reg [7:0]             uart_send_data_r; //寄存每次需要发送的BYTE

integer i,j;

//例化顶层模块
uart_top uart_top_inst
(
.I_sysclk(I_sysclk),
.I_uart_rx(O_uart_tx),
.O_uart_tx(I_uart_rx)
);


//仿真初始化
initial begin  
//初始化REG寄存器
I_sysclk =0;
bsp_clk  = 0;  
O_uart_tx  = 1;
i=0;
j=0;
uart_send_data   =0;
uart_send_data_r =0;

#20000;//延迟20000ns,等待uart测试代码中的复位延迟
uart_send_data[(0*8) +: 8] = 8'b1001_0101;//初始化需要发送的第1个BYTE
uart_send_data[(1*8) +: 8] = 8'b0000_0101;//初始化需要发送的第2个BYTE
uart_send_data[(2*8) +: 8] = 8'b1000_0100;//初始化需要发送的第3个BYTE

//uart tx 发送数据
  for(i=0; i<NUM_BYTES;i=i+1)
  begin
      uart_send_data_r = uart_send_data[(i*8) +: 8];//寄存需要发送的数据到寄存器
      $display("uart_send_data : 0x%h",uart_send_data_r);//打印准备发送的数据

      @(posedge bsp_clk);  //发送起始位1bit
      O_uart_tx = 1'b0;

      for(j=0;j<8;j=j+1)begin//发送数据8bits
      @(posedge bsp_clk);  //发送
      O_uart_tx = uart_send_data_r[j];
      end

       @(posedge bsp_clk);//发送停止位1bit
       O_uart_tx = 1'b1;  

  end
       @(posedge bsp_clk);
       #200 $finish;            
end

always #(CLK_TIME/2) I_sysclk = ~I_sysclk;    //产生主时钟
always #(BIT_TIME/2) bsp_clk  = ~bsp_clk;       //产生波特率时钟


endmodule


仿真文件第产生测试波形10010101、00000101和10000100给串口接收模块。
5.2 RTL功能仿真
为保证数据接收和发送的正确性,分别对串口接收模块和串口发送模块进行了仿真。其对应的仿真测试文件,参见工程文件夹里面的源文件。
接收端仿真如下所示,预先接收到低电平,之后再接收8位有效信号,接收寄存器得到8bit数据分别为10010101、00000101、10000100。
2504661-20231227180409999-925927307.jpg
串口回路仿真,必须注意,保持和接收发送文件中设置的波特率一致。
发送模块相对简单,以下是RTL功能仿真,可以看到我们的发送模块把测试文件中模拟发送的数据,从接收模块接收后正确发送出去了。
2504661-20231227180410757-1561044364.jpg
6 下载演示
下载程序前,先确保FPGA工程已经编译。
6.1 硬件连接
请确保下载器和开发板已经正确连接,并且开发板已经上电(注意JTAG端子不支持热插拔,而USB接口支持,所以在不通电的情况下接通好JTAG后,再插入USB到电脑,之后再上电,以免造成JTAG IO损坏)

2504661-20231227180411323-20029541.jpg

5.2 运行结果
2504661-20231227180411715-451898999.jpg

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则