[X]关闭

vivado2018.2打开2017.4的工程 综合-执行-编译报错

文档创建者:李堪和
浏览次数:6119
最后更新:2019-12-17
大家好,我用vivao2018.2的版本打开 2017.4制作的demo工程,
IP核都成功更新了

综合-执行-编译报错就报错 弹出错误信息

Command failed: This design contains one or more cells for which bitstream generation is not permitted : design_1_i/axi_ethernet_0/inst/mac/inst/tri_mode_ethernet_mac_i/bd_929b_mac_0_core (tri_mode_ethernet_mac_v9_0_12) If a new IP Core lice

发表评论已发布 2

uisrc

发表于 2019-12-17 12:52:49 | 显示全部楼层

tri_mode_ethernet_mac_i是付费IP,这个IP自己可以百度或者淘宝上找找有没有人卖,或者找代理购买正版的License
越努力越幸运!加油!

李堪和

发表于 2019-12-17 15:39:14 | 显示全部楼层

您好,是要买AXI 1G/2.5G Ethernet Subsystem IP的license吗

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则