[X]关闭

关于AXI4-Stream to Video Out的tready信号

文档创建者:warmer
浏览次数:3869
最后更新:2019-11-11
video_in接口中的s_axis_video_tready什么时候才会从0变成1,什么时候会从1变成0.   我想尽可能长的时间保持该tready有效,应该怎么设置?   

发表评论已发布 1

uisrc

发表于 2019-11-11 21:45:07 | 显示全部楼层

tready代表数据准备好了发送,当发送端,有数据,这个信号为1 否则为0
越努力越幸运!加油!
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则