[X]关闭

PL通过dma传输数据至PS

文档创建者:江苏-天文
浏览次数:3531
最后更新:2019-07-03
在对fifo进行写数据的verilog程序中有用到gpio_tri_o_0这一信号,但是在程序中好像没有对这一信号进行修改,很有可能是我没有找到,我很想知道这一信号的赋值是在哪进行的?  case(state)
          0: begin
              if(gpio_tri_o_0&& S_AXIS_tready) begin
                 S_AXIS_tvalid <= 1'b1;
                 state <= 1;
              end



本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x

发表评论已发布 1

江苏-天文

发表于 2019-7-3 13:43:49 | 显示全部楼层

int main(void)
{
        XGpio_Initialize(&Gpio, AXI_GPIO_DEV_ID);
        XGpio_SetDataDirection(&Gpio, 1, 0);
        init_intr_sys();
        XGpio_DiscreteWrite(&Gpio, 1, 1);
        oled_fresh_en();// nable olede
        axi_dma_test();

}
在SDK程序中好像有对其进行初始化,
我对于sdk程序的编写以及如何准确对PL部分的外设编程还有待提高
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则