[X]关闭

仿照例程HDMI没有输出

文档创建者:zrqldg
浏览次数:3038
最后更新:2018-01-16
悬赏3积分未解决
大家好,我初学ZYNQ,仿照S03_CH05_AXI_DMA_OV7725_HDMI搭建了工程,把7725的核换成了P031,SDK的主函数中加入了控制P031的语句(此前已验证),HDMI转VGA接显示器。烧写后,显示器没有反应,不知道是什么原因,求解。

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则