[X]关闭

怎么使用PS调用PL的模块? 已搞定。

文档创建者:vampirei
浏览次数:4445
最后更新:2016-06-06
本帖最后由 vampirei 于 2016-6-7 17:13 编辑

看了教程第七章 ZYNQ GPIO使用之EMIO有个疑问,MIO或者EMIO一定要输出在管脚上么?能够在片内和PL连接么?
加入在PL建立一个模块控制LED:
module LED(
    input wire CLK,
    input wire nRESET,
    input wire [2:0] LED_C,
    output wire [7:0] LED
    );
    reg [7:0] LED_reg;
    always @(posedge CLK or negedge nRESET) begin
        if(!nRESET) begin
            LED_reg = 8'D0;
        end
        else    begin
            case(LED_C)
                3'D0:   begin
                    LED_reg = 8'H1;
                end
                3'D1:   begin
                    LED_reg = 8'H2;
                end
                3'D2:   begin
                    LED_reg = 8'H4;
                end
                3'D3:   begin
                    LED_reg = 8'H8;
                end
                3'D4:   begin
                    LED_reg = 8'H10;
                end
                3'D5:   begin
                    LED_reg = 8'H20;
                end
                3'D6:   begin
                    LED_reg = 8'H40;
                end
                3'D7:   begin
                    LED_reg = 8'H80;
                end
            endcase
        end
    end
   
    assign LED[7:0] = LED_reg[7:0];
   
endmodule

怎么用PS控制这个LED模块呢?
//-----------20160605------------//
已经搞定,自己摸索好久啊!
现上传笔记,希望有同样需求的节省点宝贵的时间。
笔记上传不了!!!!










本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x

发表评论已发布 5

uisrc

发表于 2016-6-4 21:40:14 | 显示全部楼层

不行的,必须要输出到外部的,如果你要内部通信,用AXI总线,或者AXI-Lite总线,如果是低速的通信,AXI-Lite总线非常合适,你可以看下16章,音频部分的就是用了axi-lite总线
越努力越幸运!加油!

vampirei

发表于 2016-6-5 13:47:04 | 显示全部楼层

自己搞定了!先生成个IP,然后用PS的GPIO控制就好。

vampirei

发表于 2016-6-5 13:52:11 | 显示全部楼层

怎么看不到上传的附件????

uisrc

发表于 2016-6-6 09:43:09 | 显示全部楼层

附件测试

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
越努力越幸运!加油!
回复

使用道具 举报

uisrc

发表于 2016-6-6 09:43:16 | 显示全部楼层

可以上传啊
越努力越幸运!加油!
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则