[X]关闭

1-1-03 第三方编辑器 VSCODE安装和配置

文档创建者:uisrc
浏览次数:265
最后更新:2023-08-12
文档课程分类
AMD: FPGA部分(2024样板资料) » 1_START(适配所有型号) » 1-环境搭建
1、软件下载
使用第三方编辑工具可以让开发代码变的更加高效,vscode是非常好用的第三方编辑器,下面我们演示如何安装vscode
登录米联客官方社区https://www.uisrc.com
2504661-20240106100800915-1863337849.jpg
进入下载页面,找到vscode的资源下载链接
2、软件安装
双击Visual Studio Code 64位安装程序
2504661-20240106100801315-1433342321.jpg
2504661-20240106100802014-1827415186.jpg
2504661-20240106100807493-1934240601.jpg
2504661-20240106100808030-382395340.jpg
2504661-20240106100808597-383303574.jpg
2504661-20240106100809210-115275168.jpg
2504661-20240106100809661-1918282994.jpg
3、安装插件
vscode本身是不支持Verilog语言开发的,要想在vscode中顺利的编写Verilog源码,需要安装如下插件:
2504661-20240106100810084-1451652639.jpg
3.1 Chinese(simplified)中文汉化包
在插件扩展中,搜索"中文"即可,安装后重启vscode,界面变为中文。
2504661-20240106100810654-1088727897.jpg
3.2安装Verilog-HDL/systemVerilog插件
搜索关键词"Verilog"
2504661-20240106100811205-918068249.jpg
这个插件提供了Verilog开发环境的绝大多数基础功能,例如:
  • 代码高亮(highlight)
  • 简单语法补全提示(snippets)
  • 静态语法检查(lint,需要自行配置linter组件)
  • Ctags功能集成(需要额外安装ctags组件)
  • 自动补全
  • 文档符号大纲
  • 鼠标悬停显示代码声明
  • CTRL鼠标点击跳转到代码声明
  • 自动实例化模块
  • Language server(实验性功能)
  • 代码自动格式化(formatting,实验性功能)
该插件的基础功能已经足够大家使用,具体功能的演示就不做详细的介绍,用户可以根据自身的使用习惯去掌握,但是更进阶的功能需要部分配置,后续我们也会做详细的配置介绍。
3.3安装Verilog Highlight插件
2504661-20240106100811803-1413828179.jpg
此插件能够完善.v文件和.sv文件的代码高亮功能,方便我们对代码更好的观察。
3.4安装CTags Support插件
搜索"ctags":
2504661-20240106100812345-109958159.jpg
注意:此插件本身不带ctags组件,它的功能仅仅是能够使得vscode中的其他插件能够自动调用ctags相关功能,ctags组件安装下文也有详细介绍。
3.5安装Verilog Testbench插件
2504661-20240106100812949-925626099.jpg
Verilog Testbench插件,可以生成信号比较完善的testbench测试代码,但是该功能要求使用python3环境,新手不推荐使用,高阶用户可以自行学习,这边不做详细介绍。
4、高级环境详细配置
通过下面的配置,可以充分发挥插件的功能实现:代码声明跳转、静态语法检查、模块自动例化等高阶功能。
4.1 配置默认文本编码格式
如果在使用过程中,发现注释出现乱码,可以修改默认文本编码格式,对于中国大陆的Windows用户来说,最佳的默认文字编码是GBK:
2504661-20240106100813453-165202154.jpg
4.2 安装ctags
2504661-20240106100818964-1089494650.jpg
在插件详细介绍中,这个插件依赖于 universal ctags ,我们通过推荐链接点击进入下载满足自身系统类型的文件即可。点击插件Verilog-HDL/SystemVerilog/Bluespec SystemVerilog详情页中的:
2504661-20240106100819430-482666912.jpg
进入该网址,点击下载:
2504661-20240106100819839-261515346.jpg
2504661-20240106100820343-869398650.jpg
文件我们已经帮大家下载完成,附件如下: 2504661-20240106100825706-288954339.jpg 2504661-20240106100826066-1572867951.jpg
2504661-20240106100826488-1749519189.jpg
进入下载页面,找到vscode的资源下载链接

下载,解压(路径不含空格、特殊字符、中文):
2504661-20240106100826954-1222324108.jpg
然后将路径添加到系统环境变量中的Path中:
2504661-20240106100827509-984535604.jpg
点击确定后,打开cmd终端,输入ctags --version,观察输出是否正常:
2504661-20240106100828015-1449071550.jpg
出现版本信息,注意显示的ctags信息是universal ctags,确认无误,安装完成。
4.3 在vscode:ctags support中进行相关配置
在本机操作系统中成功安装了universal ctags组件后,我们需要配置相关插件使其可以调用ctags命令。打开vscode拓展插件栏,找到ctags support,右键,点【拓展设置】,在拓展设置中,按下图设置:

2504661-20240106100833664-1181804206.jpg
ctags support配置完成。
4.4 配置Verilog-HDL/SystemVerilog/Bluespec SystemVerilog的相关参数
打开vscode拓展插件栏,右键,点【拓展设置】,在拓展设置中,按下图设置:

2504661-20240106100834200-1975174579.jpg
● ctags PATH
2504661-20240106100834842-804793742.jpg
● linter(语法检查工具)
2504661-20240106100835358-1736416858.jpg
该插件支持以上静态语法检查工具(需要额外安装对应的软件),在Windows上笔者推荐使用Xilinx vivado自带的xvlog,在Linux上笔者推荐开源的轻量级iverilog。
linter工具只会在保存(CTRL+S)之后进行语法检查,如果你需要立刻进行一次语法检查,可以立即保存一次,或者通过rerun lint tool命令强制执行一次。
2504661-20240106100835762-1641205320.jpg

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则