[X]关闭

管脚约束

文档创建者:Marshal
浏览次数:3808
最后更新:2021-03-11
[Vivado 12-1411]无法设置端口的LOC属性,站点位置无效。这是什么原因造成的?小白求解答!!~~

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x

发表评论已发布 1

猪猪

发表于 2021-3-11 10:14:29 | 显示全部楼层

不错,感谢
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则