[X]关闭

在MIZ7035 使用HDMI7611 视频输入输出卡 红色跟蓝色相反

文档创建者:賴鴻龍
浏览次数:10714
最后更新:2020-06-18
本帖最后由 賴鴻龍 于 2020-6-4 12:35 编辑


输入源
输出


fpga_pin.xdc
set_property IOSTANDARD LVDS [get_ports diff_clock_clk_n]
set_property IOSTANDARD LVDS [get_ports diff_clock_clk_p]

set_property PACKAGE_PIN C8 [get_ports diff_clock_clk_p]

set_property IOSTANDARD LVCMOS33 [get_ports pen_o]
set_property PACKAGE_PIN AB12 [get_ports pen_o]
#############################################################################
#HDMI FEP tx
set_property PACKAGE_PIN AB17 [get_ports {HDMI_TX_P[2]}]
set_property PACKAGE_PIN AC17 [get_ports {HDMI_TX_P[1]}]
set_property PACKAGE_PIN AD16 [get_ports {HDMI_TX_P[0]}]
set_property PACKAGE_PIN AC13 [get_ports HDMI_CLK_P]

set_property IOSTANDARD TMDS_33 [get_ports HDMI_CLK_P]
set_property IOSTANDARD TMDS_33 [get_ports {HDMI_TX_P
  • }]
    ###################################################################################################
    #set_property PACKAGE_PIN AK12 [get_ports adv_scl]
    set_property PACKAGE_PIN AE10 [get_ports adv_sda]
    set_property PACKAGE_PIN AF10 [get_ports adv_scl]
    set_property IOSTANDARD LVCMOS33 [get_ports adv_sda]
    set_property IOSTANDARD LVCMOS33 [get_ports adv_scl]
    set_property PULLUP true [get_ports adv_sda]
    set_property PULLUP true [get_ports adv_scl]
    ###################################################################################################
    create_clock -period 6.734 -name pclk_i [get_ports pclk_i]

    set_property PACKAGE_PIN AF13 [get_ports de_i]
    set_property PACKAGE_PIN AD11 [get_ports hs_i]
    set_property PACKAGE_PIN Y10 [get_ports vs_i]
    set_property PACKAGE_PIN AC14 [get_ports pclk_i]
    set_property PACKAGE_PIN AD10 [get_ports adv_rst]

    set_property PACKAGE_PIN W16 [get_ports {rgb_i[23]}]
    set_property PACKAGE_PIN W15 [get_ports {rgb_i[22]}]
    set_property PACKAGE_PIN Y17 [get_ports {rgb_i[21]}]
    set_property PACKAGE_PIN AA17 [get_ports {rgb_i[20]}]
    set_property PACKAGE_PIN Y16 [get_ports {rgb_i[19]}]
    set_property PACKAGE_PIN Y15 [get_ports {rgb_i[18]}]
    set_property PACKAGE_PIN AA15 [get_ports {rgb_i[17]}]
    set_property PACKAGE_PIN AA14 [get_ports {rgb_i[16]}]
    set_property PACKAGE_PIN AB15 [get_ports {rgb_i[15]}]
    set_property PACKAGE_PIN AB14 [get_ports {rgb_i[14]}]
    set_property PACKAGE_PIN AC11 [get_ports {rgb_i[13]}]
    set_property PACKAGE_PIN AE16 [get_ports {rgb_i[12]}]
    set_property PACKAGE_PIN AE15 [get_ports {rgb_i[11]}]
    set_property PACKAGE_PIN AD14 [get_ports {rgb_i[10]}]
    set_property PACKAGE_PIN Y12 [get_ports {rgb_i[9]}]
    set_property PACKAGE_PIN AE17 [get_ports {rgb_i[8]}]
    set_property PACKAGE_PIN AF17 [get_ports {rgb_i[7]}]
    set_property PACKAGE_PIN AA12 [get_ports {rgb_i[6]}]
    set_property PACKAGE_PIN AE13 [get_ports {rgb_i[5]}]
    set_property PACKAGE_PIN AF15 [get_ports {rgb_i[4]}]
    set_property PACKAGE_PIN AF14 [get_ports {rgb_i[3]}]
    set_property PACKAGE_PIN AB11 [get_ports {rgb_i[2]}]
    set_property PACKAGE_PIN AB10 [get_ports {rgb_i[1]}]
    set_property PACKAGE_PIN AC12 [get_ports {rgb_i[0]}]

    set_property IOSTANDARD LVCMOS33 [get_ports pclk_i]
    set_property IOSTANDARD LVCMOS33 [get_ports adv_rst]
    set_property IOSTANDARD LVCMOS33 [get_ports vs_i]
    set_property IOSTANDARD LVCMOS33 [get_ports de_i]
    set_property IOSTANDARD LVCMOS33 [get_ports hs_i]
    set_property IOSTANDARD LVCMOS33 [get_ports {rgb_i
  • }]
    #########################################################################

    要如何解决这个问题?

  • 本帖子中包含更多资源

    您需要 登录 才可以下载或查看,没有帐号?立即注册

    x

    发表评论已发布 2

    uisrc

    发表于 2020-6-8 10:19:50 | 显示全部楼层

    FPGA代码里面把 R G B  的 R 和G 调换下位置
    越努力越幸运!加油!

    苏9935

    发表于 2020-6-18 16:23:40 来自手机 | 显示全部楼层

    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 立即注册

    本版积分规则