uisrc 发表于 2022-7-4 19:33:01

05安路TD结合modelsim仿真

软件版本:Anlogic -TD5.6.1-64bit操作系统:WIN10 64bit硬件平台:适用安路(Anlogic)FPGA登录"米联客"FPGA社区-www.uisrc.com视频课程、答疑解惑!1概述FPGA开发中对设计的代码功能进行必要的仿真可以提高研发效率,详尽的测试条件更能让一些设计隐患提前发现,所以代码的仿真在FPGA开发中非常重要,对于初学者一定不能只满足于表象的功能实现,而应该严谨的对自己编写的代码进行充分仿真验证,之后上板验证。在进行本实验前,请提前安装好modelsim。本实验所实用的modelsim版本为modelsim-win64-10.6d-se。实验目的:1:掌握modelsim如何编译TD的FPGA库2:掌握modelsim如何仿真安路3:掌握TD软件如何设置modelsim仿真4:掌握从TD调用modelsim的仿真方法2仿真库的编译以EG4D器件为例,TD软件自带有仿真模型,并可在modelsim进行编译,步骤如下:1:在 modelsim 的安装目录下,新建文件夹,如:anlogic,
2:启动 modelsim,选择 file → change directory 将路径转到 anlogic 文件夹下3:在 anlogic 文件夹下新建文件夹,如:src,以存放 TD 的仿真模型源文件,并将TD 安装路径下的 sim 目录下的所有文件复制过来。4:在 modelsim 的 file → new → library下新建名为eg4d的库5:打开 compile → compile,弹出compile souce files对话框,library中选择刚建立的eg4d,查找范围选择 src 下的所有文件,勾选 compile selected files together,执行编译命令编译成功3使用modelsim仿真验证本文实验在前一个demo的基础上完整。1:在 modelsim 中,点击 file → new → project,新建 project,如:sim_prj,并且删除路径,这样会保持原来的库的路径2:可点击 add existing file 添加设计文件,也可点击 Create New File 创建新的设计文件,并将其添加到工程。3:可以连续多次把需要仿真的文件都添加进来,若仿真时碰到关于 glbl 的问题,请用户在testbench 中引用 Anlogic 的 glbl 模块(对于 PH1,需要引用 PH1_PHY_GSR)4:点击 进行编译,编译成功后,源文件的状态将会由"?"变成"✔"5:点击 simulate → start simulate,在 work Library 中选择 testbench 文件进行仿真,如果想仿真后,在模块列表中查看各信号参数或波形的变化情况,可将"Enableoptimization"前面的勾去掉,否则,Modelsim 会将信号参数优化掉,导致信号列表为空。6:然后选择 libraries 点击 add,选择eg4d。点击 OK 进行仿真点击u_pll_test,选择需要观察到波形窗口的信号右击,把信号添加到波形窗口设置单步仿真10us4基于TD设置仿真参数并仿真4.1仿真参数设置TD 支持用户使用第三方工具(如 Synopsys VCS、 Mentor Graphics Modelsim 等)来进行功能验证和时序验证。TD 提供仿真所需的功能和时序模型。在进行TD联合调试前,请根据本实验前面内容完成仿真库的编译。以下介绍在TD软件中生成供Modelsim 仿真所需文件的流程。1:在运行 HDL2Bit Flow 前,先设置相关参数。2:Optimize RTL,设置rtl_sim_model ON。3:Optimize Gate,设置map_sim_modle和gate_sim_model ON。4:Optimize Routing,设置set phy_sim_model ON。5:Timing Option设置sdf ON。6:设置 Modelsim 仿真相关参数lib 指定仿真的库文件 没有默认值,需手动指定路径runtime 指定仿真运行的时间 1000 nsresolution 指定仿真的时间精度 1 ps4.2仿真文件do文件设置1:运行 HDL2Bit Flow对于向执行什么级别的仿真,就执行对应的HDL2Bit Flow当 HDL2Bit Flow 运行至 Read Design 这一步时,可执行 Behavioral Simulation;当 HDL2Bit Flow 运行至 Optimize RTL 这一步时,可执行 Post-RTL Simulation;当 HDL2Bit Flow 运行至 Optimize Gate 这一步时,可执行 Post-Gate Simulation;当 HDL2Bit Flow 运行至 Optimize Routing 这一步时,可执行 Post-Route Simulation。以执行Behavioral Simulation仿真来说,只要执行Read Design 这一步,如下图2:定义 testbench 文件如点击 tools->Simulation则会弹出如下对话框,可以添加一个已经存在的testbench文件可以新建一个tb或者添加一个已经编写好的tb仿真文件,这里我们添加已经编写好的。点击 OK 后,将会在工程目录下生成fpga_prj_behavioral_sim.do\ fpga_prj_gate_sim.v\ fpga_prj_map_sim.v\ fpga_prj_rtl_sim.do\ fpga_prj_rtl_sim.v 并在 TD 界面打开fpga_prj_behavioral_sim.do文件。打开fpga_prj_phy_sim.do或者fpga_prj_rtl_sim.do,并且修改,确保所有的程序文件都正确设置如下图所示。4.3启动modelsim仿真1:改变路径设置到工程路径下的simulation路径路径切换成功输入ls查看路径下的文件输入do fpga_prj_behavioral_sim.do执行仿真
附录:常见问题1联系方式米联客官方社区:www.uisrc.com
米联客社区FPGA/SOC QQ群:群1:516869816         群2:543731097群3:86730608         群4:34215299
技术微信:18951232035技术电话:18951232035
官方微信公众号(新微信公众号):2售后1、7天无理由退货(人为原因除外)2、质保期限:本司产品自快递签收之日起,提供一年质保服务(主芯片,比如FPGA 或者CPU等除外)。3、维修换货,需提供淘宝订单编号或合同编号,联系销售/技术支持安排退回事宜。售后维修请登录工单系统:https://www.uisrc.com/plugin.php?id=x7ree_service4、以下情形不属于质保范畴。A:由于用户使用不当造成板子的损坏:比如电压过高造成的开发板短路,自行焊接造成的焊盘脱落、铜线起皮 等B:用户日常维护不当造成板子的损坏:比如放置不当导致线路板腐蚀、基板出现裂纹等5、质保范畴外(上方第4条)及质保期限以外的产品,本司提供有偿维修服务。维修仅收取器件材料成本,往返运 费全部由客户承担。6、寄回地址,登录网页获取最新的售后地址:https://www.uisrc.com/t-1982.html3销售天猫米联客旗舰店:https://milianke.tmall.com京东米联客旗舰店:https://milianke.jd.com/米联客淘宝生态店:https://milianke.taobao.com
销售电话:18921033576
公司地址:常州溧阳总部:常州溧阳市中关村吴潭渡路雅创高科智造谷10-1幢楼南京研发基地:南京市栖霞区仙林大道181号5幢1820室4在线视频https://www.uisrc.com/video.html5软件下载https://www.uisrc.com/f-download.html6经验分享https://www.uisrc.com/f-390.html7官方博文 https://www.uisrc.com/portal.php?mod=list&catid=35
页: [1]
查看完整版本: 05安路TD结合modelsim仿真