[X]关闭

AMD-FPGA

  • 文档数:1182
作者 回复/查看 最后发表
预览 miz702显示640 480 280968406 2020-3-20 02986 280968406 2020-3-20 11:21
预览 请问 1G/2.5G Ethernet PCS/PMA or SGMII 怎么把 resetdone拉高 大胖 2020-3-16 03350 大胖 2020-3-16 00:26
预览 ILA使用异常 attach_img regWire 2020-3-15 02422 regWire 2020-3-15 12:03
预览 测试使用千兆光转电模块小问题 嘿嘿嘿 2020-3-14 03021 嘿嘿嘿 2020-3-14 15:10
预览 管脚约束疑问 attach_img 周炎847 2020-3-9 23952 msxbo 2020-3-10 13:07
预览 XADC无法读取温度 TGR865 2020-3-9 16357 msxbo 2020-3-10 13:06
预览 关于lwip的使用问题 challenge-wxg 2020-3-4 19112 dou 2020-3-9 14:35
预览 UCOSiii请教 dou 2020-3-9 02725 dou 2020-3-9 14:20
预览 音频卡ADAU1761采集配置问题 特雷西福 2020-3-7 03515 特雷西福 2020-3-7 17:28
预览 MK7352板卡光纤接口测试问题 attach_img kobe 2020-3-4 03651 kobe 2020-3-4 21:04
预览 关于DMA的时间消耗的分析 瞌睡来登了 2020-3-2 24579 瞌睡来登了 2020-3-4 19:26
预览 一段式状态机与三段式状态机 A1_Vincent 2020-3-4 03594 A1_Vincent 2020-3-4 11:02
预览 7Z020怎样才能支持telnet和ftp 棱角 2020-3-3 03712 棱角 2020-3-3 12:57
预览 lwip问题请教 dou 2020-3-2 25637 dou 2020-3-3 11:55
预览 7020b板子上的摄像头接口处的隔离芯片 attach_img 等風の帆653 2020-3-2 24277 等風の帆653 2020-3-3 08:45
预览 EMIO计数必须从54开始计数吗? regWire 2020-2-23 56787 msxbo 2020-3-2 22:12
预览 7035fd 02_hardware 02原理图 查找不到管脚资源 attach_img 我本清山都水郎 2020-3-2 25194 我本清山都水郎 2020-3-2 20:56
预览 综合后,手动添加管脚约束 I/O Ports 找不到对应的变量名 attach_img 我本清山都水郎 2020-3-2 313374 我本清山都水郎 2020-3-2 20:53
预览 DDR MIG 视频教程问题 attach_img love 2020-3-2 14840 msxbo 2020-3-2 20:27
预览 CH05 FPGA 程序的固化和下载 流水灯不能呈现 attach_img 我本清山都水郎 2020-2-25 35754 我本清山都水郎 2020-3-2 15:20
预览 参考米联客HLS缩放IP生成的板级驱动包缺少包含文件 attach_img jiaohuang2004 2020-2-29 15621 jiaohuang2004 2020-2-29 20:01
预览 ddr3的读写地址问题 风行者 2020-2-27 15744 msxbo 2020-2-28 16:09
预览 请教 ps是如何通过基地址访问外设pl的 狂羁青马 2016-4-5 69604 星空下的平凡 2020-2-25 11:11
预览 ZYNQ PL端GTX通信 周炎847 2020-2-15 36207 星空下的平凡 2020-2-25 11:01
预览 FPGA跨时钟检测上升沿简单处理方法 星空下的平凡 2020-2-25 04138 星空下的平凡 2020-2-25 10:56
预览 FPGA跨时钟信号同步简单处理方法 attach_img 星空下的平凡 2020-2-25 04664 星空下的平凡 2020-2-25 10:53
预览 XC7A35T的核心板 pumpkin 2020-2-19 17087 msxbo 2020-2-24 15:47
预览 PHY问题请教 dou 2020-2-24 26198 水果皇帝 2020-2-24 15:44
预览 如何用资料里的例程搭建用户自定平台,如何写TCL文件 林亚奇 2020-2-23 03153 林亚奇 2020-2-23 15:55
预览 如何用资料里的例程搭建用户自定平台,如何写TCL文件 林亚奇 2020-2-23 02738 林亚奇 2020-2-23 15:53
预览 MA703FA中的HDMI输入输出例程中遇到的问题(CH11_hdmi_in_demo) attach_img 玛瑙_重获新生i 2020-2-18 26226 玛瑙_重获新生i 2020-2-20 10:10
预览 xilinx SDK 提示 DONE pin is not high on targr FPGA…… attach_img 周炎847 2020-2-17 213411 周炎847 2020-2-18 14:53
预览 MZ7100FA开发板lvds接口问题 attach_img @伟 2020-2-17 25633 @伟 2020-2-18 07:05
预览 关于PCIe的ADC数据采集 attach_img 卢新硕 2020-2-16 35681 卢新硕 2020-2-17 19:09
预览 GTX光纤通信 参考时钟管脚约束问题 attach_img 周炎847 2020-2-9 25942 周炎847 2020-2-15 20:40
预览 7045GXT使用aurora-64b/66bIP报错,导致数据丢失问题 attach_img 紫笺。 2020-2-10 35921 紫笺。 2020-2-15 16:49
预览 7020 CH19 利用BRAM进行PL和PS间通信 attach_img 伊人 2020-2-14 34943 msxbo 2020-2-15 11:34
预览 想请问一下,ZYNQ可以实现中断嵌套吗 KEVINXIAO 2020-2-14 47834 KEVINXIAO 2020-2-14 17:02
预览 sdk调试路径问题请教 attach_img dou 2020-2-13 34380 msxbo 2020-2-14 09:00
预览 MK7160开发板教程中MIG配置的疑问 attach_img Dedalus 2020-2-13 16356 msxbo 2020-2-14 09:00
预览 7020 CH14 EMMC读写实验中如何找到写进去的数据 attach_img 伊人 2020-2-12 14473 msxbo 2020-2-12 15:41
预览 网口问题请教 dou 2020-2-12 34719 msxbo 2020-2-12 15:37
预览 7030PCIe部分XDMA的vs代码问题 attach_img 卢新硕 2020-2-11 34767 卢新硕 2020-2-12 12:57
预览 MK7325FA有没有完整约束文件? kobe 2020-2-11 13489 msxbo 2020-2-11 20:37
预览 关于PCIe部分PIO中修改GTP约束的疑问 卢新硕 2020-2-9 25914 菲尼克兔 2020-2-10 18:36
预览 怎么加入技术支持的QQ群? 我本清山都水郎 2020-2-7 25073 msxbo 2020-2-7 10:14
预览 AD9481属于SDR还是DDR Azad 2020-1-14 33938 msxbo 2020-2-6 18:21
预览 SDK无法进入函数内部打断点,stepinto直接进汇编? attach_img 小尨 2020-2-2 24734 msxbo 2020-2-6 18:19
预览 CH19 利用BRAM进行PS与PL间数据交互历程中 attach_img 情已逝 2019-12-6 23902 msxbo 2020-2-6 18:17
预览 请教zynq7020对巨帧支持的问题 attach_img newhub 2020-2-1 14137 newhub 2020-2-1 21:02
下一页 »